出租车计费器开发

出租车计费器
(1) 实现计费功能,按出租车计费标准:起步价为9.00元,并在行驶3km后按1.4元/km计费;车辆停止和暂停时不计费。 (2) 模拟汽车的起动、暂停、停止和换挡等状态。 (3) 设计数码管动态扫描
RAR
0B
2019-02-27 18:00
出租车计费器
基于stc89c51出租车软件程序 汇编程序 流程图
PDF
0B
2019-02-27 17:46
出租车计费器
理工大学EDA出租车计费器实验报告物联网工程
DOC
0B
2019-07-17 17:13
出租车计费器设计
本设计是一种采用FPGA芯片进行出租车计费器,主要分为分频模块、计量模块、计费模块、控制模块等模块,利用FPGA的可编程性,简洁又多变的设计方法,缩短了研发周期。主要采用了FPGA芯片,使用VHDL语
DOC
0B
2019-03-29 18:05
出租车计费器程序
voidlcd_init()//液晶初始化{wr_com(0x3c);wr_com(0x06);wr_com(0x0c);wr_com(0x01);
TXT
0B
2020-01-03 17:59
出租车自动计费器
出租车自动计费器,本文介绍了一种采用单片CPLD芯片进行出租车计费器的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用CPLD的可编程性,简洁而又多变的设计方法,缩短了研发周期,
DOC
0B
2019-07-07 22:53
fpga出租车计费器
Fpga taxi meter
PDF
0B
2019-06-28 01:26
EDA出租车计费器
利用VHLD语言编写程序控制出租车计费系统,前3公里计费八元,此后每一公里加1.7,等待时间每五分钟加1.5元。
DOC
0B
2018-12-31 17:16
出租车计费器设计
基于ProTel99se的的电路设计及仿真结果
DOC
0B
2018-12-08 12:06
出租车自动计费器
出租车自动计费器,全原创,运用数电基本元器件实现自动计费功能
docx
0B
2019-07-24 15:24