VHDL程序与仿真电子钟--数字系统设计必看

上传:dss7628950431 浏览: 31 推荐: 0 文件:DOC 大小:119KB 上传时间:2019-03-02 15:08:50 版权申诉
这是一篇关于用VHDL语言设计一个电子钟表的方案,包含所有的源代码,可以给做数字系统设计的同学提供参考。
上传资源
用户评论

qq_56026036 2019-03-02 15:08:50

即使需求不太一样,也能从设计中找到共通点,得到启发

power54120 2019-03-02 15:08:50

在我的设计中,很多灵感来源于此,很不错

相关推荐
数字系统仿真VHDL设计
复杂数字系统是现代电子系统最重要的组成部分,培养学生掌握九十年代后期先进的设计技术关系到我国电子和信息工业的发展。复杂数字系统的硬件描述语言建模、仿真和综合技术是设计高性能数字信号处理电路的关键技术。
DOC
75KB
2020-09-19 15:25
VHDL编写的电子钟程序仿真
一、基本功能要求: 1 、在分屏上显示时、分、秒,可使用电子管的小数点。“而不是时间、分钟和秒分隔符”: “分屏显示意味着,由于只有 4 个数字管,时间, 分秒不能同时显示,只能显示时间和分秒,或者只
ZIP
0B
2019-06-21 07:17
电子钟VHDL程序仿真
电子钟程序,希望对大家有帮助!有详细的代码,并且每部分都有仿真波形!
DOC
115KB
2020-08-20 10:41
VHDL数字电子钟设计
能够实现小时(24进制)、分钟和秒钟(60进制)的计数功能具有复位功能功能扩展:具有复位、整点报时提示、定时闹钟等功能在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。
DOC
0B
2020-06-12 07:26
基于VHDL数字电子钟设计实现
基于VHDL数字电子钟的设计与实现 这是大家很需要的东西
DOC
0B
2019-03-10 19:46
VHDL数字系统设计
经典书籍VHDL与数字系统设计卢毅科学出版社
PDF
0B
2020-06-17 16:24
vhdl数字电子钟
本设计的数字钟,要求显示格式为小时—分钟—秒钟,分别在8个七段LED数码管上以动态分时扫描的方式显示。系统有两个时钟基准,CLK1为4HZ,分频后用来作为计时基准时钟。CLK2为10KHZ,用来作为扫
DOC
0B
2019-09-28 12:46
数字系统仿真VHDL设计课程实验
数字系统仿真VHDL设计课程实验,附实验源代码 实验一. 分频器设计 实验二. VHDL描述风格比较 实验三. 4位可逆计数器 实验四. 7段数码管译码器设计与实现 实验五. 状态机代码验证 实验六.
RAR
0B
2019-03-11 12:27
VHDL数字时钟EDA设计电子钟
VHDL数字时钟源代码具有较时功能,带秒表分频,电子自动化设计练习
RAR
0B
2019-05-16 06:22
基于VHDL数字电子钟设计实现课程设计
本课程设计完成了数字电子钟的设计,数字电子钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的石英技术,它使数字钟具有走时准确、性能稳定、携带方便等优点。数字钟已成为人们日
DOC
0B
2018-12-26 09:26
数字系统VHDL程序设计语言
Digital System and VHDL Programming Language
RAR
0B
2019-06-26 14:16
VHDL程序仿真
VHDL程序与仿真
RAR
0B
2020-05-30 15:38
VHDl.......数字电子钟
采用VhDL语言编程,时、分、秒计时,00:00:00~23:59:59 6位数码显示时间,显示方式为6位十进制 有手动清零按键 有闹钟功能,可设定闹铃时间,到点发声LED发光指示,直到手动关闭
APPLICATION/X-RAR
101KB
2020-11-29 03:23
数字电子钟vhdl语言
vhdl开发的数字电子钟实现计时.分为24进制的小时模块和60进制的分秒模块。还有个分时同步模块主要用来调节输出的led显示
RAR
0B
2018-12-22 00:05
数字电子钟multisim仿真设计
由multisim 13进行数字电子时钟仿真设计。由555定时器获得秒脉冲,供时钟计数器使用。由74LS160构成24进制和60进制计数器实现小时、分钟和秒计数。使用JK触发器构成7进制计数器,实现星
MS13
783KB
2020-08-04 21:19