Verilog数字系统设计教程-总结

上传:fg97452l 浏览: 23 推荐: 0 文件:PDF 大小:207.2KB 上传时间:2019-03-28 05:36:56 版权申诉
Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的 Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。这也就是说, 既可以用电路的功能描述也可以用元器件和它们之间的连接来建立所设计电路的Verilog HDL模型。
上传资源
用户评论