Stratus High Level Synthesis User Guide

上传:njshen 浏览: 53 推荐: 0 文件:pdf 大小:10.88MB 上传时间:2019-04-06 04:21:58 版权申诉
The first high-level synthesis platform for use across your entire SoC design, Stratus High-Level Synthesis (HLS) delivers up to 10X better productivity than traditional RTL design. Based on more than 14 years of production HLS deployment, the Stratus tool lets you quickly design and verify high-quality RTL implementations from abst ract SystemC, C, or C++ models. ract SystemC, C, or C++ models.
上传资源
用户评论