基于FPGA的DHT11的温湿度数据采集

上传:文石三 浏览: 19 推荐: 0 文件:RAR 大小:2.34MB 上传时间:2019-04-26 18:03:01 版权申诉
本代码是基于FPGA的dht11测温湿度程序
上传资源
用户评论

longhai79816 2019-04-26 18:03:01

挺棒的,但我学VHDL的

xizia 2019-04-26 18:03:01

很好的资源,可以使用;

icon5379 2019-04-26 18:03:01

下载了,但是还没有正式使用,希望可以顺利进行

cooldog60822 2019-04-26 18:03:01

很好的 资源 给力

目之子叶 2019-04-26 18:03:01

完全看不懂是什么啊,都打不开

布衣70 2019-04-26 18:03:01

下载了,但是还没有正式使用,希望可以顺利进行

showchenwei 2019-04-26 18:03:01

很棒,有价值,还在研究

fantasylanda 2019-04-26 18:03:01

代码可以实现功能,美中不足的是有的地方注释有点少

tmm83019 2019-04-26 18:03:01

努力看代码啊,虽然我需要的是湿度采集。。总之还是谢谢了

bi_eight 2019-04-26 18:03:01

努力看代码啊,注释太少了。。总之还是谢谢了