verilog写的m序列

上传:zhangjinjing90831 浏览: 51 推荐: 0 文件:其他文档 大小:3KB 上传时间:2019-04-29 10:17:55 版权申诉
基于verilog语言描写的m序列产生器,采用模二加的原理,当然也可用查找表
上传资源
用户评论

wjwj96746 2019-04-29 10:17:55

对我有帮助,有基本的m序列产生

m58711er 2019-04-29 10:17:55

凑合吧,可以参考下

sdsnows 2019-04-29 10:17:55

代码略简单,不过还好,在此基础学习后,写好了自己想要的代码,还是感谢楼主。

brigade_1945 2019-04-29 10:17:55

看懂了就觉得简单了

nql_neu 2019-04-29 10:17:55

该程序写的还可以,就是太简单了,不是我想要的。只是能很好的理解原理.

gangzi334 2019-04-29 10:17:55

非常有用,简洁明了

QQ74437 2019-04-29 10:17:55

太简单,没有多大实用价值。

DaYouAer 2019-04-29 10:17:55

代码稍微简单了些,不过基本概念还是正确的

woolen_99333 2019-04-29 10:17:55

能让我理解原理。有帮助。

xmztz1274 2019-04-29 10:17:55

程序写的不错啊