TLC5510接口电路及程序设计

上传:hfj31201 浏览: 31 推荐: 0 文件:DOCX 大小:93.23KB 上传时间:2019-05-01 10:19:28 版权申诉
它在每一个CLK(时钟)周期都启动一次采样,完成一次采样;每次启动采样是在CLK的下降沿进行,不过采样转换结果的输出却在2.5CLK周期后,如果计算上输出延时td(D),从采样到输出需经2.5*CLK+td(D)。对于需要设计的采样控制器,可以认为,每加一个采样CLK周期,A/D就输出一个采样数据
上传资源
用户评论