基于FPGA实现AD转换的verilog代码

上传:zhaolinwei 浏览: 37 推荐: 0 文件:RAR 大小:384.9KB 上传时间:2019-05-17 02:53:13 版权申诉
通过利用QuatrusII软件编写verilog的AD转换代码,使用USBblaster将代码下载到FPGA开发板中,外接10MHz信号源,从而可将模拟信号转换为数字信号
上传资源
用户评论

qq_51185970 2019-05-17 02:53:13

真的很不错,帮助我解决了AD转换精度的问题!