dds波形发生器(基于FPGA)

上传:天才梦浪 浏览: 50 推荐: 0 文件:DOCX 大小:101.64KB 上传时间:2019-05-21 22:11:44 版权申诉
基于FPGA的dds波形发生器利用FPGA+DAC,设计一个DDS信号发生器。要求:1.分辨率优于0.1HZ2.ROM长度8位、位宽8位3.输出频率:10HZ~60KHZ(每周期>=50个点)4.显示信号频率(16进制显示,低频时至少含一位小数)5.直接输入频率控制字
上传资源
用户评论
相关推荐
基于FPGADDS波形发生器
用verilog开发,使用黑金开发平台,芯片是altera cyclone ii 的EP2C8Q208C8,可以实现三角波,方波,正弦波的任意频率发生,也实现了键盘控制以及串口通信,但上位机未设计,硬
RAR
0B
2018-12-26 08:30
DDS波形发生器FPGA
系统基于DDS(直接数字频率合成技术),以FPGA和单片机为控制核心,与外围电路连接,构成了一个多功能信号发生器。其中包括正弦波、三角波、锯齿波、方波,乃至任意波形的产生
APPLICATION/X-RAR
407KB
2020-08-16 03:06
基于FPGADDS任意波形发生器
基于FPGA的双路可移相任意波形发生器,其中包括系统设计原理,正弦波、三角波、锯齿波的波形文件!能实现相位调节及其显示!
ZIP
0B
2019-05-12 23:07
基于FPGADDS波形发生器程序
基于FPGA的DDS波形发生器,经编译通过。
RAR
0B
2019-05-19 13:10
基于FPGADDS双通道波形发生器
直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法
KDH
0B
2019-05-21 22:12
基于FPGADDS波形发生器的设计
一片毕业设计,电子信息类的,基于FPGA的DDS波形发生器的设计
KDH
0B
2020-05-18 12:05
基于FPGADDS任意波形发生器频率可控
2001年全国电子设计大赛波形发生器,可以产生正弦波,矩形波,方波以及三种波形的叠加。频率可控,步进100HZ。
ZIP
0B
2019-05-21 22:10
基于FPGADDS波形信号发生器的设计
基于FPGA的DDS波形信号发生器的设计
zip
735.83 KB
2022-07-16 07:27
采用FPGA实现DDS任意波形发生器
DDS直接数字式频率合成器(Direct Digital Synthesizer),相信所有人看到这个名字就觉得不会陌生。有些资料讲述的方式太高大上,不少人一时半会接受不了。本篇文章从双口RAM入手,
PDF
79KB
2020-07-29 06:54
FPGA实现DDS任意波形发生器
DDS直接数字式频率合成器(Direct Digital Synthesizer),相信所有人看到这个名字就觉得不会陌生。有些资料讲述的方式太高大上,不少人一时半会接受不了。本篇文章从双口RAM入手,
PDF
353KB
2020-08-31 23:57
FPGA DDS波形发生器设计与切换
基于FPGA实现Verilog代码编写,实现正弦波、方波、三角波、锯齿波切换,提供可调频调幅功能,包含代码、说明、仿真和FPGA模块连接视频。
zip
2.31MB
2024-04-26 20:07
基于fpgaDDS信号发生器四种波形
完整的工程文档,平台是QuartusⅡ13.0,完整的DDS代码,包含四种波形输出,打开应用编程即可实现,亲尝试
ZIP
0B
2019-03-08 17:41
基于FPGADDS技术的任意波形发生器设计
根据现代电子系统对信号源的频率稳定度、准确度及分辨率越来越高的要求,结合直接数字式频率合成器(DDS)的优点,利用FPGA芯片的可编程性和实现方案易改动的特点,提出了一种基于FPGA和DDS技术的任意
PDF
185KB
2020-10-27 12:53
基于FPGA实现DDS技术的波形发生器的研究
基于FPGA实现DDS技术的波形发生器的研究,有各种波形的代码
PDF
0B
2020-05-18 12:05
dds波形发生器
基于FPGA的DDS波形发生器,可产生任意频率任意相位的波形
QPF
0B
2019-03-08 04:30