电子时钟VHDL代码

上传:bingou_jie 浏览: 21 推荐: 0 文件:RAR 大小:728.68KB 上传时间:2019-07-06 00:26:50 版权申诉
VHDL语言实现电子时钟,代码注释详细,12进制显示,带有时、分置位端,方便验证.文件是完整工程文件,烧写板子是EP2C5Q208C8,是新手很好的参考文件.主要有分频、时钟计数、数码管译码显示等模块.
上传资源
用户评论