频率计(verilog)——1Hz到30MHz的频率测量

上传:weiwen57530 浏览: 68 推荐: 0 文件:ZIP 大小:22.34MB 上传时间:2019-05-28 11:56:57 版权申诉
利用verilog语言实现1到30MHz的频率测量
上传资源
用户评论

stripe3591 2019-05-28 11:56:57

内容比较复杂,需要慢慢消化。编码风格有待改进。

Q205157470 2019-05-28 11:56:57

还行,就是注释少了点!!!

pj74974zyc 2019-05-28 11:56:57

我只能说我看不懂,LZ加点注释性的东西吧,你这一点解释都没有,我看你这个还不如自己编呢!