基于Verilog语言的数字钟

上传:lysd53135 浏览: 20 推荐: 0 文件:V 大小:4.04KB 上传时间:2019-06-04 09:56:27 版权申诉
Verilog语言设计数字钟,具有闹钟,校准,整点报时功能
上传资源
用户评论