VHDL 动态数码管显示

上传:linux_xin 浏览: 31 推荐: 0 文件:ZIP 大小:4.34KB 上传时间:2019-06-04 21:26:43 版权申诉
用VHDL语言所编写的动态数码管显示,在配置好管脚后就能下载到FPGA中。
上传资源
用户评论

lt7327 2019-06-04 21:26:43

这是骗分的