DDS的verilog代码

上传:盼盼法式小面包75788 浏览: 18 推荐: 0 文件:RAR 大小:1023.63KB 上传时间:2019-07-08 16:15:40 版权申诉
本代码利用Verilog编程,利用QUARTUSii仿真软件能够产生一个正弦波和一个余弦波。
上传资源
用户评论
相关推荐
ddsverilog代码设计
dds的verilog代码设计可进行modelsim仿真,新型的dds设计
TXT
0B
2019-06-01 10:17
DDSVERILOG代码
用Verilog实现的DDS,带部分注释
其他文档
0B
2019-09-19 17:50
verilog DDS
FPGAverilogDDS模块一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加
RAR
0B
2019-06-26 07:28
ddsVerilog实现
软件课程设计实际验证过,还不错,还有一些外围电路用于放大输出信号,实在有困难可以联系我,在我空间留言。
rar
0B
2020-04-26 18:14
DDS verilog程序
DDS的Verilog程序,包含原理说明
RAR
0B
2020-06-02 03:06
verilog实现DDS
ise+modelsim下仿真实现DDS
RAR
0B
2019-06-01 10:17
DDS_Verilog
DDS波形产生采用Verilog基于Altera开发板
QPF
0B
2019-07-10 05:18
基于Verilogdds设计
FPGA\dds中文资料,从原理入手,交你如何去设计dds.rar
RAR
0B
2019-03-01 08:58
基于verilogDDS设计
Verilog-based DDS design
ZIP
0B
2019-06-26 07:28
VERILOG编写DDS
用VERILOG编写的DDS,已经经过仿真.
RAR
0B
2020-04-26 18:15
DDS时钟采用FPGA实现基本代码verilog
DDS时钟采用FPGA实现的基本代码,采用QuartusII13进行编译,输出12位,输出为正弦波。内附ModelsimSE调试代码,仿真通过
RAR
0B
2020-01-03 16:50
VERILOG实现dds功能
使用Verilog 实现DDS功能 内部采用32位控制字 有注释 结构正确
APPLICATION/X-RAR
365KB
2020-08-14 05:27
fpga开发verilog编写DDS
一个用verilog编写的DDS,基本上是模仿ad9850芯片编写的。其中DA芯片用的是AD9767,fpga用的是xilinxspartan6的,最高工作时钟为125MHz,该频率下最高可产生10M
RAR
0B
2019-09-14 03:10
AD9850DDS控制源代码verilog代码
verilog控制DDS芯片AD9850时序,对于用AD9850做开发的有比较好的参考意义,代码中用AD9850做扫频,扫频的配置参数需要有FPGA的ROM提供
V
0B
2019-06-04 01:52
dds verilog实现绝对好用
用FPGA实现的dds可产生正弦,方波,三角,锯齿频率1——65k
RAR
0B
2019-04-07 04:12