跨时钟域系统设计及同步器设计

上传:86133后纯娱乐 浏览: 27 推荐: 0 文件:RAR 大小:6.2KB 上传时间:2019-07-09 17:31:28 版权申诉
多时钟域的数字系统设计,Verilog语言实现,含有基本电路源代码和testbench。有握手同步器和数据流同步器的简单实现,数据流同步器参照DesignWare中的Data_stream_sync设计。
上传资源
用户评论

treasure90117 2019-07-09 17:31:28

代码写的很不错,可惜没有相关的文档说明