verilogFLASH读写时序

上传:qq_39137688 浏览: 31 推荐: 0 文件:RAR 大小:1.22KB 上传时间:2019-07-09 18:27:23 版权申诉
本文件为用Verilog写的FLASHS29AL032D读和擦除的驱动时序,对刚学习Verilog的同学有一定帮助,已在DE2开发板上验证。
上传资源
用户评论

tzh39370 2019-07-09 18:27:23

感觉还行,虽然没看懂

newdivide63108 2019-07-09 18:27:23

过于简单了

glimpse1196 2019-07-09 18:27:23

非常感谢,尽管没有解决实际问题,但还是受到启发!

qqafterward83434 2019-07-09 18:27:23

比较简单的代码,有用但是需要加以改进

qqburger61261 2019-07-09 18:27:23

写的还不错

fucaihuayu 2019-07-09 18:27:23

可惜还是不会用

leeyou7506 2019-07-09 18:27:23

写的比较简单,不过有点指导吧,还是自己整了一个.

charpn 2019-07-09 18:27:23

写得很简单,但是能用,已经过验证,还是有一定的指导意义

sworddancer79815 2019-07-09 18:27:23

感觉很乱,简单可行

daoshuifeng 2019-07-09 18:27:23

写得很简单,但是能用,已经过验证,还是有一定的指导意义。