任意N位和M位的乘法器VHDL实现

上传:巴啦啦4 浏览: 25 推荐: 0 文件:ZIP 大小:166.39KB 上传时间:2019-07-10 02:18:31 版权申诉
我是2014级复旦的研究生。这是用VHDL语言设计的任意的M乘以N位的乘法器。设计中,被除数和乘数的位数是通过参数来设置的,可由你来修改。我已写好了testbench。可放心使用。
上传资源
用户评论