基于VHDL的DDS设计

上传:twtfjt 浏览: 28 推荐: 0 文件:CAJ 大小:89.17KB 上传时间:2019-07-14 00:52:00 版权申诉
使用AD9850设计DDS信号发生器,输出正弦波频率1-30Mhz
上传资源
用户评论