基于DE2的VGA模块

上传:sure23909 浏览: 17 推荐: 0 文件:RAR 大小:189.9KB 上传时间:2019-07-14 20:58:57 版权申诉
用Quartus16.1写的VGA模块,可在屏幕上显示五个颜色的色块
上传资源
用户评论
相关推荐
基于VGA彩条发生器DE2
用DE2板实现基于VGA的彩条发送器,代码工程都已经建好,逻辑没有任何问题,但应对不太的板子,接口可能要修改一下。
RAR
0B
2019-01-15 20:23
基于FPGAVGA控制模块设计
基于FPGA的VGA控制模块设计基于FPGA的VGA控制模块设计基于FPGA的VGA控制模块设计
PDF
0B
2019-07-23 21:33
基于FPGAVGA显示模块设计
基于FPGA的VGA显示模块设计.pdf
RAR
0B
2019-09-05 09:14
基于DE2开发板VGA显示模块仅供大家参考_DE2development board
基于DE2开发板的VGA显示模块,仅供大家参考-DE2 development board
rar
0B
2018-12-11 06:11
基于VERILOG实现VGA显示模块代码
基于VERILOG实现的VGA显示模块代码
RAR
0B
2019-04-29 03:33
de2开发板vga显示verilog代码
de2开发板vga显示的verilog代码,需要调用锁相环,已在开发板上测试通过。显示结果是一副规律的几何图像
V
0B
2018-12-11 06:11
verilog VGA模块
verilog语言的VGA输出模块样本,640*480,注释清楚,简单好用
V
0B
2019-07-20 03:25
de2开发板vga显示verilog代码
这是基于Altera公司DE2开发板的所写的VGA显示代码,可以设置显示区域,和颜色,代码简单,移动,采用verilog语言
ZIP
0B
2019-05-13 16:03
DE2开发平台基于SOPCVGA显示信号发生器程序
本人经过大量调试的成功作品,基于DE2硬件平台,能在电脑显示器上显示出彩条信号,全蓝,全绿等色彩,软件开发环境是Quartus II 7.2+Nios II 7.2 IDE.
RAR
6.05MB
2021-05-02 16:03
vga使用NIOS模块定义ALERA
基于FPGA开发的vga的NIOS 模块的定义
PDF
0B
2018-12-29 13:27
基于FPGAVGA设计
采用Altera 公司的 EDA软件工具QuartusII,并以Cyclone_II FPGA(Field-Programmable Gate Array)为主的硬件平台进行VGA接口设计,实现字符和
PDF
0B
2019-01-21 18:46
基于FPGAVGA显示
我用 ep1c1204q2 40 做了这个实验。我用其他芯片重新分配引脚,显示单色比较稳定,图片文字显示不出来。
RAR
0B
2019-06-21 06:42
基于FPGAVGA驱动
基于FPGA的VGA驱动
RAR
0B
2019-01-08 14:34
基于SDRAMVGA设计
基于SDRAM的VGA设计
PDF
0B
2019-07-23 21:33
基于fpgavga游戏
基于fpga的vga游戏显示
RAR
0B
2020-01-31 23:34