Verilog 交通灯

上传:wu8cyp88 浏览: 15 推荐: 0 文件:NONE 大小:5.23KB 上传时间:2019-07-15 12:18:58 版权申诉
用Verilog编写的交通灯程序,已经在开发板上验证过。
上传资源
用户评论
相关推荐
verilog交通灯
verilog交通灯代码基础性 源代码 可根据自身需要进行改进
TXT
0B
2019-01-22 17:19
交通灯verilog
交通灯控制verilog代码!非常好!代码经过试验完全正确
DOC
0B
2019-04-27 04:38
交通灯设计verilog
verilog编写的交通灯
V
0B
2019-01-22 17:19
verilog交通灯控制
基于verilog的交通信号灯控制,CLK:为同步时钟;EN:使能信号,为1的话,则控制器开始工作;LAMPA:控制A方向四盏灯的亮灭;其中,LAMPA0~LAMPA3,分别控制A方向的左拐灯、绿灯、
DOC
0B
2019-05-16 06:21
交通灯Verilog设计
Traffic light Verilog design
DOC
0B
2019-06-26 08:04
verilog交通灯设计
本实验为自主选题设计实验,实验选择具有倒计时显示功能的红黄绿三色交通设计,实验中采用verilog HDL 作为设计功能描述语言,选用Altera公司的MAX II EPM240T100C5最为主控芯
DOC
0B
2018-12-08 06:38
EDA交通灯verilog代码
EDA课程实验交通灯代码,里面有实现的代码,以及操作步骤文档和仿真的文件,可以直接连接实验箱验证设计
ZIP
0B
2019-04-29 07:45
数字系统Verilog交通灯
数字系统Verilog 交通灯(红绿灯),可直接运行,运用Quartus软件编写
ZIP
0B
2019-03-08 18:05
Verilog设计的交通灯
Traffic lights designed by Verilog
RAR
0B
2019-06-21 21:46
交通灯Verilog源代码
交通灯Verilog源代码FPGACPLD
TXT
0B
2019-08-01 22:08
fpga verilog交通灯例子
基于verilog的小例子交通灯通过此来模拟红黄绿灯之间的转换
V
0B
2019-08-19 14:30
verilog交通灯详细代码
verilog 交通灯 详细代码 verilog 交通灯 详细代码 verilog 交通灯 详细代码
RAR
738KB
2020-09-28 01:57
交通灯Verilog实现
Verilog implementation of traffic lights
RAR
0B
2019-06-28 00:11
verilog实现交通灯
这是用verilog实现交通灯的常规功能
RAR
0B
2019-07-08 16:09
交通灯程序verilog语言
用verilog语言实现的交通灯控制程序,可以实现交通灯的简单控制
TXT
2KB
2020-08-24 03:11