时序电路设计:序列信号发生器.ms10

上传:guoqing510 浏览: 46 推荐: 0 文件:MS10 大小:70.76KB 上传时间:2019-08-16 12:50:33 版权申诉
时序电路设计:序列信号发生器.ms10
上传资源
用户评论
相关推荐
时序电路设计抢答器.ms10
时序电路设计:抢答器.ms10
MS10
0B
2019-09-05 12:52
序列信号发生器
序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号.能产生这种信号的逻辑器件就称为序列信号发生器.根据结构不同,它可分为反馈移位型和计数型两种. 1、移位型序列信号发生器 1.移位型
PDF
96KB
2021-04-21 21:20
时序电路设计365计数器.ms10
时序电路设计:365计数器.ms10
MS10
0B
2019-01-01 14:01
时序发生器四个信号
用PROTUES设计的时序发生器,通过时钟信号可产生四个连续的等频率信号。
DSN
0B
2019-01-01 14:01
时序电路设计2421码同步计数器.ms10
时序电路设计:2421码同步计数器.ms10
MS10
0B
2019-01-01 14:01
序列信号发生器的设计
EDA技术及其应用《序列信号发生器的设计》,包括源文件。-EDAtechnologyanditsapplications"sequencesignalgeneratordesign,including
RAR
0B
2019-05-28 01:12
时序电路设计红黄绿灯光控制电路.ms10
时序电路设计:红黄绿灯光控制电路.ms10
MS10
0B
2019-07-26 20:42
multisim电路设计.ms10
multisim电路设计.ms10
MS10
58KB
2020-07-20 17:06
序列信号发生器的multisim仿真
摸电序列信号发生器的multisim仿真
MS11
0B
2019-05-14 19:28
基于FPGA的序列信号发生器
该代码是用状态机组织的序列信号发生器,是学习状态机的很好的代码
RAR
0B
2018-12-21 00:56
数字时钟电路设计.ms10
数字逻辑电路设计电子时钟设计
MS10
0B
2020-06-19 06:40
EDA论文序列信号发生器的设计
序列信号VHDLEDA仿真MAX+PLUSⅡ
DOC
0B
2020-05-15 22:04
模拟技术中的序列信号发生器
序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号.能产生这种信号的逻辑器件就称为序列信号发生器.根据结构不同,它可分为反馈移位型和计数型两种. 1、移位型序列信号发生器 1.移位型
PDF
75KB
2020-11-06 07:30
波形与序列信号发生器设计实验
多谐振荡器 序列信号发生器 数模转换电路 555的应用 74LS194移位寄存器 EEPROM2864
PPT
1.51MB
2020-07-17 09:40
简易多波形信号发生器电路设计
信号发生器在电子实验中作为信号源,通常用得多的是正弦波、三角波、方波以及用作触发信号的脉冲波。本次制作的是能产生九种波形的信号发生器。
PDF
134KB
2020-10-27 12:29