UVM1.1应用指南及源代码分析_20111211版.pdf

上传:w60827 浏览: 23 推荐: 0 文件:PDF 大小:3.1MB 上传时间:2020-02-09 13:44:47 版权申诉
序写这本书的难度超出了我的预料。从月初开始写,一直到现在,个多月的时间,从刚开始的满含激情,到现在的精疲力尽。现在写出来的东西,距离我心目中的作品差距十万八千里,有太多的地方没有讲述清楚,有太多的地方需要仔细斟酌,有太多的语句需要换一种表述方式。做为一个完美主义者,我应该努力的把所有的不完美的地方消除。可是,世间存在完美的东西么?作为一个工程师,必须要在理想和现实之间做出一些妥协。理想总是很美好但是现实中的资源总是有限,一个优秀的工程师不是不惜代价的实现完美,而是在现有的资源情况下做到最好。月份开始写的时候,自己时间颇多,但是现在则是时间已经处于不够用的状态,已经无力再支撑自己去仔细的修改写出来的东西正因为如此,我停止对这本书的修改,把其对外公布。做出这个决定,我要对小学、初中、高中和大学的语文老师说一声:对不起,我给你们丢脸了;我要对那些从小到大培养我思维严谨性的数学老师、物理老师、化学老师、电路老师、编程老师等说一句:对不起,虽然我已经尽量追求严谨,但是书中依然有太多不严谨的地方;我要对广大的读者说:对不起,要阅读此书请自动开启你们的“超强纠错”功能,否则可能无法正常阅读。写这本书,只是想把自己会的一点东西完全的落于纸上。在努力学习的过程中,自己花费了很多时间和精力。我只想把学习的心得记录下来,希望能够给后来的人以启发。如果这本书能够给一个人带来一点点的帮助,那么我的努力就不算是白费。这本书的前半部分(第到第章)介绍了的使用,其用户群较为广泛而后半部分(第到第章)则介绍背后的工作原理,用户群相对稀少。通常来说,一般的用户只要看懂前半部分就可以了。但是我想,世上总有像我一样有好奇心的人,不满足知其然再不知其所以然,会有人像我一样,会因为一个技术问题而彻夜难眠,如果你是这样的人,那么恭喜,这本书的后半部分就是为你准备的。读者在阅读过程中如果有任何意见或者建议,或者发现了任何错误,请发邮件,我非常期待有人能和我探讨关于的问题。笔者,于浙江图书馆简要目录序简要目录详细目录图目录初识验证平台什么是验证平台的组成个简单的验证平台与的树形组织结构是中最最基本的类经常用到的和机制的思考及中的中的用来划分不同的家庭及机制使用时的一些技巧机制中的机制写出强大的的使用机制机制的前世今生强大的聚合变量的各种与中各种的连接用实现和的通信的使用简介搭建一个简单的复杂的中的常用操作的使用简介中的使用机制源代码分析机制源代码分析宏的执行机制源代码分析根据字符串创建一个类的实例宏机制的应用宏其它用于注册的宏功能机制源代码分析探索初识浅探机制的高级应用机制源代码分析简单的高级的机制机制源代码分析系列宏的产生与发送的常用功能的机制源代码分析基本的数据结构资源的写入资源的读出类对机制的扩展源代码分析端口简介类常用的的定义源代码分析基本的数据结构模型的建立的锁定的操作:的操作的操作的其它常用操作机制源代码分析从宏说起的使用附录:术语附录:函数索引详细目录序简要目录详细目录图目录初识验证平台什么是主要用在什么地方何谓方法学?验证平台的组成何谓验证平台验证平台个简单的验证平台类的定义与实例化验证平台中的验证平台中的验证平台中的验证平台中的验证平台中的验证平台中的验证平台中的验证平台中的验证平台中的验证平台中的验证平台中的验证平台的启动与的树形组织结构中的树的根在哪里?的动执行是中最最基本的类是两个对等的概念吗有哪些类派生自经常用到的和常用的常用的机制认证准生证功能根据类名创建类的实例的本质:重写了函数的思考及中的为什么要分成和的自动执行中同的执行顺序中的动态运行中的是如何控制验证平台的关闭的参数的含义一般在什么地方用来划分不同的家庭的例子与单的区别机制为什么要使用机制机制的使用机制都做了哪些事情如何排除某些字段使用时的一些技巧尽量做到”
上传资源
用户评论
相关推荐
UVM1.1应用指南源代码分析
UVM1.1应用指南及源代码分析张强
PDF
0B
2019-05-22 04:51
uvm1.1应用指南源代码分析
详细介绍了UVM验证平台及搭建,对于初学者是很好的学习资料。
RAR
0B
2019-08-01 01:04
UVM1.1应用指南源代码分析张强.pdf
本书是张强著作的关于uvm平台搭建和验证用例编写的指导书
PDF
0B
2019-07-29 08:45
UVM1.1应用指南源代码分析注释
本章第一节将大体介绍一下感性意义上的UVM,这里不会讲的很详细,因为关于UVM的历史在网上已经有太多的资料。第二节讲述验证平台的组成,这里也只是介绍一个轮廓。第三节开始则会教我们一步一步搭建一个UVM
PDF
0B
2019-08-01 01:04
UVM1.1应用指南源代码分析20111211版
在这里,读懂UVM
PDF
0B
2020-05-29 17:43
UVM1.1应用指南源代码分析_20111211版
做UVM的同学最好参考一下.很好的资料。
PDF
3.1MB
2020-07-24 04:48
深度解析UVM1.1应用指南源代码分析
UVM1.1应用指南及源代码分析.pdf是一份深度剖析UVM1.1应用的指南,通过对源代码的详细分析,揭示了UVM1.1在实际应用中的关键特性和技术细节。该指南通过深入研究UVM1.1的实际应用案例,
pdf
4.92MB
2023-12-17 20:07
UVM1.1应用指南源码分析
UVM1.1 应用指南及源码分析 张强 UVM1.1 应用指南及源码分析 张强 UVM1.1 应用指南及源码分析 张强 UVM1.1 应用指南及源码分析 张强
PDF
8.97MB
2020-12-19 10:27
UVM1.1应用指南源代码分析_20111211版_NoRestriction.pdf
UVM1.1应用指南及源代码分析_20111211版_NoRestriction.pdf
PDF
0B
2019-05-22 04:51
UVM1.1应用指南
UVM1.1应用指南及源代码分析,内容比较详细,适用于初学者
PDF
0B
2019-08-01 01:04
UVM1.1应用指南源代码分析第一章工程实例
UVM1.1应用指南及源码分析这本书,写的很好...为了学习UVM,将这本书中的第一章的那个demo完整的跑出来了...并且有详细的注释...全部手打...另外个人感觉代码这东西还是要自己敲的..提供
RAR
0B
2019-09-09 03:20
UVM1.1应用指南源代码分析中第一章的代码
自己敲的UVM1.1应用指南及源代码分析中第一章的代码,可运行,附带运行操作说明。
ZIP
0B
2019-08-01 01:04
uvm1.1源代码
Thisisrelease1.1oftheUniversalVerificationMethodology(UVM)
GZ
0B
2020-05-13 12:40
eetop.cn_UVM1.1应用指南源代码分析.pdf
eetop.cn_UVM1.1应用指南及源代码分析,希望对大家有帮助,互相学习互相进步,感觉论坛本来是开放的,好的资源大家应该共享的,下啥资料都要C币,好烦、、、
PDF
0B
2019-09-09 03:20
UVM11应用指南源代码分析
UVM是Universal Verification Methodology的缩写,即通用验证方法学。它起源于OVM(Open Verification Methdology),是由Cadence,M
zip
0B
2019-01-06 00:09