四位全加全减器

上传:yk3780215496 浏览: 21 推荐: 0 文件:DOC 大小:148KB 上传时间:2020-06-01 21:22:24 版权申诉
四位全加全减器实现 libraryIEEE;--四位全加全减器(复用加法器) useIEEE.std_logic_1164.all; useIEEE.std_logic_unsigned.all;--要用信号加法,要加此句 entityAMis port( Flag:instd_logic;--1为减法0为加法 Cin:instd_logic;--进位(借位)输入 A,B:instd_logic_vector(3downto0);--A为加(减)数,B为被加(减)数 Sum:outstd_logic_vector(3downto0);--结果
上传资源
用户评论