用VERILOG编写的IIC协议

上传:d115626194 浏览: 41 推荐: 0 文件:V 大小:39.02KB 上传时间:2018-12-29 07:30:48 版权申诉
本资料只用于IIC的初学者,代码就没啥风格,但是比较实用。
上传资源
用户评论
相关推荐
Verilog实现IIC协议
用Verilog实现I2C协议,有主机从机的代码,以及顶层模块和测试模块
ZIP
0B
2019-06-05 12:25
verilog编写iic模块
verilog编写的iic模块
V
15KB
2021-04-12 15:24
基于FPGA协议IIC verilog
基于Verilog的IIC分析+代码
ZIP
0B
2018-12-29 07:44
IIC通信协议Verilog实现
刚刚花了几天时间把 IIC 总算搞懂了一些,查了很多资料,也纠结过于很多细节,不过只要耐着性子,一点点的去理解,去尝试,终会得到你想要的结果,人生不也是吗,嘿嘿~,不闲扯了,下面就写写我的理解以及方法
PDF
78KB
2020-08-17 17:50
VERILOG编写DDS
用VERILOG编写的DDS,已经经过仿真.
RAR
0B
2020-04-26 18:15
verilog编写sigmadeltaadc例子
用verilog编写的sigma-deltaadc例子
RAR
0B
2019-07-12 18:58
verilog语言编写MIPS
用verilog语言编写的MIPS,实现了5级流水线和跳转预测。
RAR
0B
2019-07-12 18:59
IIC操作加IICverilog程序
I2C硬件代码,非常适合初学者学习!推荐下载
RAR
437KB
2020-12-20 22:51
IIC总线协议IIC总线协议IIC总线协议
IIC总线协议IIC总线协议IIC总线协议
PDF
0B
2019-09-28 16:51
VERILOG iic代码
VERILOG HDL iic读写源代码,测试通过。
V
0B
2018-12-29 07:44
iic verilog代码
iic verilog 代码 scl 与sda都为inout口,漏极开路模式
V
0B
2018-12-29 07:44
verilog iic代码
基于一段式状态机的代码,通信正常,可以实现16位读与8位写
V
0B
2018-12-29 07:44
Verilog语言编写ALU
参数有:AluOp,OperandA,OperandB,Zero,Overflow,Less,Result有二级译码
NONE
0B
2019-09-18 00:50
IO_IIC通信协议编写
IO_IIC通信协议的编写需要遵循一定的规范,如何利用C语言编写高效可靠的IO_IIC通信协议。还包括了IO_IIC通信协议的基本概念,编写流程和注意事项等方面的内容。如果你对IO_IIC通信协议的编
c
3.17KB
2023-03-28 13:27
基于verilogIIC代码
基于AT24C02的verilog代码,是FPGA学习者不可多得的学习资料
RAR
0B
2018-12-29 07:44