FPGA/CPLD实验教程一(点亮LED)

上传:℡小丑的笑而卑微 浏览: 11 推荐: 0 文件:PDF 大小:453.92KB 上传时间:2020-08-19 17:36:47 版权申诉
本实验旨在点亮发光二极管。通过这个实验,熟悉CPLD/FPGA开发软件的使用方法和开发流程及Verilog HDL 的编程方法。
上传资源
用户评论