基于FPGA的74HC595驱动数码管动态显示 Verilog实现

上传:小李ABC 浏览: 12 推荐: 0 文件:PDF 大小:213.65KB 上传时间:2020-08-30 00:43:30 版权申诉
基于FPGA的74HC595驱动数码管动态显示--Verilog实现.由FPGA控制74HC595驱动数码管其实主要是抓住74HC595的控制时序,进而输出所需控制显示的内容,由同步状态机实现.
上传资源
用户评论