VHDL语言为核心的EDA技术在医学中的应用方案

上传:有些人心如花木,皆向阳而生 浏览: 7 推荐: 0 文件:PDF 大小:324.21KB 上传时间:2020-10-27 16:34:58 版权申诉
在此将VHDL语言设计的计数器应用于脉搏测量,精确的计量出脉搏跳动,并通过数码管直观地表示出来。显示出VHDL语言设计数字系统与医学的紧密联系及其在医疗实践中的巨大应用前景。
上传资源
用户评论