EDA/PLD中的EDA典型单元电路的异步计数器

上传:fuyuxian38069 浏览: 8 推荐: 0 文件:PDF 大小:240.13KB 上传时间:2020-11-17 03:40:55 版权申诉
异步计数器又称行波计数器,它的下一位计数器的输出作上一位计数器的时钟信号,一级一级串行连接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从而使计数延迟增加,在要求延迟小的领域受到了很大限制。尽管如此,由于它的电路简单,仍有广泛的应用。 【例】用VHDL语言设计一个由8个触发器构成的8位二进制异步计数器,并使用MAX+p1us II进行仿真。 仿真结果如图所示。 如图 8位二进制异步计数器RPLCONT的仿真波形图 来源:ks99
上传资源
用户评论