异步FSMC的方式实现FPGA与STM32通信

上传:quantify_42707 浏览: 16 推荐: 0 文件:ZIP 大小:22.55MB 上传时间:2020-12-06 14:04:06 版权申诉
异步FSMC的方式实现FPGA与STM32通信。自己写的程序。通过16位复用的地址信号线实现STM32与FPGA直接的数据交互。在FPGA中例化了位宽:16位;深度:4096 word的 8K RAM空间。其中前16个字地址为预留的16个16位寄存器,其余的为数据存储区。前三个寄存器目前用来指示FPGA LED的三个颜色。 按下ARM按键后STM32开始往数据存储区中写入数据。 全部写满后开始读取,若与写入数据相同,说明验证成功。 此时ARM LED为绿色,FPGA LED循环亮一次。
上传资源
用户评论