[UVM]UVM RAL Adapter

上传:wwwfxiangnet 浏览: 13 推荐: 0 文件:PDF 大小:16.15KB 上传时间:2021-01-10 15:13:10 版权申诉
UVM RAL Adapter With the UVM Register model, we do design register access, i.e WRITE to the design register or READ from the design register by calling RAL methods. Finally, these transactions have to be placed to design bus, this will be done by RAL component Adapter. The RAL adapter
上传资源
用户评论