基于DDS的高函数信号发生器研制

上传:nice咕咕咕 浏览: 12 推荐: 0 文件:PDF 大小:185.32KB 上传时间:2021-03-23 18:48:37 版权申诉
引言 直接数字频率合成技术(Direct Digital FrequencySynthesis ,DDS)是从相位概念出发直接合成所需波形的一种新的频率合成技术。DDS 技术具有相对带宽宽、频率转换时间短、频率分辨率高等优点,广泛用于高精度频率合成和任意信号发生。本文采用单片机控制DDS芯片,设计实现了一种高精度多波形的信号源。 1 DDS原理 DDS的基本结构包括:相位累加器、正弦查询表、数模转换器(DAC)及低通滤波器等。DDS 原理如图1所示。 图1 中信号输出频率和参考时钟频率的关系表达式为: 其中:fo为输出频率, fosc为参考时钟频率,F
上传资源
用户评论