基于光子晶体光纤中双泵浦四波混频效应的非归零到归零码型转换实验研究

上传:czgylizhibiao 浏览: 11 推荐: 0 文件:PDF 大小:3.75MB 上传时间:2021-04-19 18:58:29 版权申诉
基于光子晶体光纤中双泵浦四波混频效应的非归零到归零码型转换实验研究
上传资源
用户评论
相关推荐
基于微波光子滤波器归零归零转换研究
从信号频域处理的角度分析并实验验证了一种基于微波光子滤波器的归零(RZ)码到非归零(NRZ)码的码型变换方案。理论上,通过对RZ和NRZ码基带信号的频谱特点以及微波光子滤波器的特性进行分析,构建一个低
PDF
4.73MB
2021-04-27 12:38
基于光纤交叉相位调制归零归零转换
数值模拟了一种利用高非线性光纤(HNLF)中的交叉相位调制(XPM)效应实现归零(RZ)码到非归零(NRZ)码的转换方案,讨论了RZ信号的占空比对转换后NRZ码性能的影响。转换后NRZ码的性能受输入R
PDF
2.92MB
2021-02-19 06:31
基于光子晶体光纤混频效应甲烷传感测量
通过在非线性光子晶体光纤的两个特定包层气孔内侧镀甲烷气敏薄膜,实现了一种基于简并四波混频效应的甲烷测量新方法,该方法通过建立光纤中斯托克斯光谱、反斯托克斯光谱的移动与甲烷浓度变化的直接联系来实现对甲烷
PDF
12.06MB
2021-02-25 11:51
基于硅光波导非线性效应归零归零光调制格式转换
基于硅光波导的四波混频(FWM)和交叉相位调制(XPM)效应,提出非归零码(NRZ)到归零码(RZ)的光调制格式转换。当探测光NRZ信号和抽运光时钟脉冲序列同步通过硅波导时,FWM效应产生斯托克斯光和
PDF
2.29MB
2021-02-19 07:27
全光归零NRZ归零RZ转换技术研究进展
互联网业务的迅猛增长,促使光网络向大容量高性能方向发展,波分复用(WDM)与时分复用(OTDM)相结合,将是未来超高速大容量光子网络的发展方向。全光非归零(NRZ)到归零(RZ)码型转换技术,是构建这
PDF
4.9MB
2021-02-21 00:57
论文研究光子晶体光纤飞秒脉冲混频实验研究.pdf
光子晶体光纤中飞秒脉冲四波混频的实验研究,耿丹,杨冬晓,本文对通信波段的飞秒脉冲激光在色散平坦光子晶体光纤中四波混频的特性进行了实验研究。当满足相位匹配条件时,斯托克斯波和反斯
PDF
368KB
2020-07-18 05:35
光子晶体光纤混频光谱相位匹配特性研究
光子晶体光纤四波混频光谱中相位匹配特性的研究
PDF
128KB
2021-04-08 04:48
基于光纤光参量放大多通道全光归零归零转换
提出了一种基于光纤光参量放大器(FOPA)的多通道全光非归零码(NRZ)/归零码(RZ)调制格式转换的方案。该方案中,非归零码信号与同步的时钟抽运光共同注入到高非线性光纤(HNLF)中,由高非线性光纤
PDF
3.11MB
2021-02-21 00:57
基于周期极化反转铌酸锂光波导高速归零归零转换
基于周期极化反转铌酸锂光波导高速非归零码到归零码的转换
PDF
726KB
2020-07-17 22:16
基于光子晶体光纤混频光波长变换
基于光子晶体光纤四波混频的光波长变换。。
PDF
356KB
2020-07-17 19:01
利用TOAD实现10Gbit s全光归零归零转换
利用从非归零(NRZ)信号中全光提取的时钟,采用太赫兹光非对称解复用器(TOAD)实现了10 Gbit/s非归零码到归零(RZ)码的码型转换。非归零信号采用半导体光放大器(SOA)进行时钟分量增强并用
PDF
878KB
2021-02-25 00:25
利用自发混频测量光子晶体光纤色散
使用脉宽为1.6 ps的脉冲光抽运0.6 m长的光子晶体光纤,测量由光纤中自发四波混频过程所产生光子对的频谱,并利用所获得的相位匹配数据确定了待测光纤的色散。当抽运光的中心波长以1 nm的步长,在10
PDF
1.38MB
2021-04-08 04:48
自启动归零归零和光电时钟信号发生器及转换
采用双波长注入一包含伪随机码发生器与相位调制器的光电振荡器可以同时得到非归零(NRZ)码,归零(RZ)码以及光,电时钟信号输出。该方案使用了光域耦合的双环路结构,在不增加有源器件的条件下实现边模抑制。
PDF
719KB
2021-02-19 06:31
差分相移键控归零归零格式转换研究
提出了一种全新的基于相位-强度混合调制和色散补偿的光差分相移键控(DPSK)信号的非归零(NRZ)到归零(RZ)格式转换器,理论分析了转换器参数对转换的影响,数值研究了恶化条件下的10 Gb/s的NR
PDF
2.92MB
2021-02-17 16:29
基于微环谐振器和窄带滤波器全光归零归零转换
基于信号频谱变换的原理,利用微环谐振器的梳状谱传输特性,辅助以窄带滤波器,将全光归零(RZ)码信号的频谱包络转变为较理想的非归零(NRZ)码信号的频谱包络,从而实现RZ 码到NRZ 码的码型转换。详细
PDF
3.85MB
2021-02-08 14:33