verilog实现32位无符号乘法器和带符号乘法器

上传:dense2755 浏览: 39 推荐: 0 文件:RAR 大小:3.35KB 上传时间:2021-05-13 20:35:53 版权申诉
包含MULT、MULTU的v文件以及对应的testbank文件,代码带注释。
上传资源
用户评论