verilog时钟显示

上传:aoxiang7267 浏览: 30 推荐: 0 文件: 大小:2KB 上传时间:2019-01-20 23:20:21 版权申诉
在FPGA上面实现通过seg管实现时钟显示
上传资源
用户评论

qq_54683346 2019-01-20 23:20:21

基本功能OK,还是有一些能继续拓展的地方~给一个赞~

相关推荐
verilog时钟
采用verilog语言,运行在FPGA上的时钟程序,包括小时、分钟、秒,进行计时、
V
0B
2018-12-09 12:38
Verilog写的时钟并用1602显示
用Verilog写的时钟并用1602显示,通过计数来写的时钟,代码运行无错误,并下载可执行
RAR
0B
2020-06-01 17:12
FPGA实现时钟显示Verilog HDL语言
利用Verilog HDL语言编写数字逻辑,最终将程序下载到FPGA开发板上,用LED显示时钟信息。
APPLICATION/X-RAR
285KB
2020-08-16 03:44
verilog实现时钟数码管显示
verilog实现时钟数码管显示 仿真 下载均可以直接实现
V
0B
2018-12-09 05:01
数字时钟Verilog
数电课程设计FPGA上实现,设计要求1.有4只数码管分别显示小时及分钟2.用LED灯闪烁表示秒3.可以扩展功能
ZIP
0B
2019-07-05 20:39
Verilog时钟分频
verilog时钟分频,50Mhz分频成5Mhz的实现代码
V
0B
2019-09-03 08:40
数字时钟verilog
数字时钟verilog
ZIP
0B
2019-09-07 02:52
verilog时钟设计
FPGA 综合实验 always @(posedge clock0 or posedge clr) begin if (clr == 1) begin clk_temp
BAK
2KB
2020-07-24 22:19
verilog时钟分频
一个简单的时钟分频程序,可以实现2、4、8、16、11等偶数和奇数分频。 只需要简单修改一下程序就可以实现任意整数分频
RAR
0B
2018-12-08 07:46
verilog语言时钟代码
在DE2开发板上能够实现置数、清零、倒计时、正计时、以及蜂鸣报警的时钟
ZIP
0B
2019-05-14 17:53
时钟设计Verilog文件
用于实现时钟的Verilog代码,时钟功能有年月日,闰年判断,大小月判断,秒表,闹钟以及整点报时。可直接用于basy3板写板
V
0B
2019-05-14 17:53
数字时钟verilog程序
verilog 数字时钟程序 clock.v
V
0B
2019-03-08 16:33
verilog电子时钟
硬件描述语言作业,实现电子时钟设计,VerilogHDL
DOCX
0B
2019-07-10 02:29
Verilog_时钟电路
Verilog_时钟电路Verilog_时钟电路
DOCX
0B
2019-09-09 17:10
verilog时钟代码
verilog小时钟程序
V
6KB
2021-01-07 20:01