波形发生器代码

上传:bbznfe 浏览: 35 推荐: 0 文件:DOCX 大小:65.48KB 上传时间:2019-04-08 01:22:47 版权申诉
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; ENTITY sine_test IS PORT( clock: IN STD_LOGIC; --系统时钟 key: IN STD_LOGIC_VECTOR(3 DOWNTO 0); select01: OUT STD_LOGIC; --发送数据使能. data: OUT STD_LOGIC_VECTOR(11 DOWNTO 0);--要发送的数据 seg: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);--数码管 段码输出。 dig: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)--数码管位码输出。 ); END; 段码输出。 dig: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)--数码管位码输出。 ); END;
上传资源
用户评论