波形发生器FPGA代码

上传:qq_92558 浏览: 27 推荐: 0 文件:DOCX 大小:11.94KB 上传时间:2019-05-21 22:11:35 版权申诉
基于FPGA和锁相环的波形发生器设计,FPGA的后面的代码
上传资源
用户评论
相关推荐
FPGA波形发生器
FPGA波形发生器,可以产生正弦波,三角波,方波,锯齿波,modelsim仿真,可以调节波形频率,幅值
RAR
0B
2019-05-13 10:30
fpga任意波形发生器
一个fpga实现任意波形发生器的学习文档,很全哦。。。
DOCX
0B
2019-05-06 03:35
FPGA简易波形发生器
大二上写的小程序,波形、振幅、频率可以切换。后期有完善的版本,有需求的再联系我。
PDF
0B
2019-07-31 20:43
DDS波形发生器FPGA
系统基于DDS(直接数字频率合成技术),以FPGA和单片机为控制核心,与外围电路连接,构成了一个多功能信号发生器。其中包括正弦波、三角波、锯齿波、方波,乃至任意波形的产生
APPLICATION/X-RAR
407KB
2020-08-16 03:06
FPGA多功能波形发生器
在Q2中进行仿真,基于fpga的多功能波形发生器
DOC
0B
2019-05-06 03:34
基于FPGA波形发生器
基于FPGA的VHDL语言的实现波形发生器
ZIP
0B
2019-04-29 10:23
dds波形发生器基于FPGA
基于FPGA的dds波形发生器利用FPGA+DAC,设计一个DDS信号发生器。要求:1.分辨率优于0.1HZ2.ROM长度8位、位宽8位3.输出频率:10HZ~60KHZ(每周期>=50个点)4.显示
DOCX
0B
2019-05-21 22:11
基于FPGA任意波形发生器
FPGA-based arbitrary waveform generator
DOC
0B
2019-06-27 03:18
FPGA波形发生器程序VHDL
自己用VHDL语言写的波形发生器程序....这个是我期末考试的作业...仅供参考....
RAR
0B
2020-06-08 12:18
波形发生器代码
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned
DOCX
0B
2019-04-08 01:22
FPGA DDS任意波形发生器完整项目代码
基于DDS任意波形发生器的完整项目代码。经过难证的。对于毕业设计,工程开发都有很好的参考价值。
ZIP
0B
2019-09-13 19:30
基于FPGA的DDS波形发生器
用verilog开发,使用黑金开发平台,芯片是altera cyclone ii 的EP2C8Q208C8,可以实现三角波,方波,正弦波的任意频率发生,也实现了键盘控制以及串口通信,但上位机未设计,硬
RAR
0B
2018-12-26 08:30
波形发生器fpga tlc5615
使用VerilogHDL语言写的波形发生器,包括数模转换模块的驱动,tlc5615的驱动
ZIP
0B
2019-07-30 00:49
基于FPGA的任意波形发生器
基于FPGA的任意波形发生器,能生成方波、正弦波、三角波等一系列波形,频率能达到5M以上。
PDF
0B
2019-05-19 13:10
基于FPGA波形发生器.rar
该文件是基于FPGA的波形发生器,可以产生正弦波,方波,三角波,并且可以通过串口对波形的幅度,频率进行控制。
RAR
0B
2020-05-18 12:05