FPGA简易波形发生器

上传:473digit 浏览: 17 推荐: 0 文件:PDF 大小:833.83KB 上传时间:2019-07-31 20:43:16 版权申诉
大二上写的小程序,波形、振幅、频率可以切换。后期有完善的版本,有需求的再联系我。
上传资源
用户评论

qq_10417 2019-07-31 20:43:17

有没有完整的代码?

相关推荐
简易波形发生器
题目六、简易波形发生器(基于单片机的设计——实验箱或Proteus仿真)设计要求:通过开关或按钮有选择地输出四种波形——正弦波、三角波、方波和梯形波四种波形的频率可通过输入电位器在一定范围内调节
RAR
0B
2019-04-28 11:25
FPGA波形发生器
FPGA波形发生器,可以产生正弦波,三角波,方波,锯齿波,modelsim仿真,可以调节波形频率,幅值
RAR
0B
2019-05-13 10:30
简易波形发生器ppt
简易波形发生器,发生频率占空比可调方波,数码管显示。
PPT
0B
2019-03-03 17:52
简易波形发生器程序
用于简易波形发生器的运行程序
TXT
0B
2019-05-13 10:30
0832简易波形发生器
设计要求该波形发生器能产生正弦波、方波、三角波等形状的波形,频率和幅度可调。不同的波形主要是由输入DAC0832的不同规律的数据,所以在软件设计是主要是构造各种波形的数据表格。
DOC
0B
2019-07-19 22:21
fpga任意波形发生器
一个fpga实现任意波形发生器的学习文档,很全哦。。。
DOCX
0B
2019-05-06 03:35
波形发生器FPGA代码
基于FPGA和锁相环的波形发生器设计,FPGA的后面的代码
DOCX
0B
2019-05-21 22:11
DDS波形发生器FPGA
系统基于DDS(直接数字频率合成技术),以FPGA和单片机为控制核心,与外围电路连接,构成了一个多功能信号发生器。其中包括正弦波、三角波、锯齿波、方波,乃至任意波形的产生
APPLICATION/X-RAR
407KB
2020-08-16 03:06
实现各种波形简易波形发生器
通过VC++设计,实现不同波形的选择显现,实现三角波,矩形波,方波等的波形。
DOC
0B
2019-05-15 05:39
DDS简易波形发生器设计
是本人的一门实验课程课题,通过要求,精心写的一份报告,内附vhdl代码,和模块分析
DOC
0B
2019-03-08 04:31
简易波形信号发生器
信号发生器在电子实验中作为信号源,通常用得多的是正弦波、三角波、方波以及用作触发信号的脉冲波。本次制作的是能产生九种波形的信号发生器。
PDF
138KB
2020-08-31 15:50
FPGA多功能波形发生器
在Q2中进行仿真,基于fpga的多功能波形发生器
DOC
0B
2019-05-06 03:34
基于FPGA波形发生器
基于FPGA的VHDL语言的实现波形发生器
ZIP
0B
2019-04-29 10:23
dds波形发生器基于FPGA
基于FPGA的dds波形发生器利用FPGA+DAC,设计一个DDS信号发生器。要求:1.分辨率优于0.1HZ2.ROM长度8位、位宽8位3.输出频率:10HZ~60KHZ(每周期>=50个点)4.显示
DOCX
0B
2019-05-21 22:11
基于FPGA任意波形发生器
FPGA-based arbitrary waveform generator
DOC
0B
2019-06-27 03:18