VHDL语言的数码管显示程序

上传:liaowen39274 浏览: 35 推荐: 0 文件:TXT 大小:2.77KB 上传时间:2019-04-30 13:37:20 版权申诉
用四个七段式数码管显示数据,实现动态显示,改变分频系数,可以实现不同的显示效果。
上传资源
用户评论

yiranbingyu 2019-04-30 13:37:20

还不错,挺容易懂的。

w71123u 2019-04-30 13:37:20

一个实用的参考例子,可以参考参考!

antyna 2019-04-30 13:37:20

挺好用的 谢谢

美兰子 2019-04-30 13:37:20

还不错,挺容易懂的。不过实现功能较简单。不能扫描同时显示。

Braith 2019-04-30 13:37:20

很好,挺容易理解的

hero954252 2019-04-30 13:37:20

还不错,挺容易懂的。不过实现功能较简单。不能扫描同时显示。

hackxizhao 2019-04-30 13:37:20

正在用CPLD的小板子做实验,很不错的数码管参考例程