电子密码锁(基于VHDL语言)

上传:beautyxdq 浏览: 49 推荐: 0 文件:DOC 大小:188.5KB 上传时间:2019-05-02 05:14:40 版权申诉
设计一个简单的数字电子密码锁,密码为4位。用数据开关K1~K10分别代表数字1,2,…9,0,输入的密码用数码显示,最后输入的密码显示右边,即每输入一位数,密码在数码管显示左移一位。同时可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的为上补充“0”。用一位输出电平的状态代表所得开闭状态。为保证密码的主人能打开密码锁,设置一个万能密码。
上传资源
用户评论