电子密码锁VHDL论文

上传:ls88672 浏览: 30 推荐: 0 文件:DOC 大小:878.5KB 上传时间:2019-07-06 17:35:58 版权申诉
摘要:本系统由单片机系统、矩阵键盘、LED显示和报警系统组成。系统能完成开锁、超时报警、超次锁定、管理员解密、修改用户密码基本的密码锁的功能。除上述基本的密码锁功能外,还具有掉电存储、声光提示等功能,依据实际的情况还可以添加遥控功能。本系统成本低廉,功能实用关键词:AT89S51,AT24C02,电子密码锁,矩阵键盘
上传资源
用户评论

LSSS_xiao21718 2019-07-06 17:35:58

已经下载了,谢谢分享!非常感谢

tamadgebi 2019-07-06 17:35:58

狠赞狠赞狠赞,给了我帮助

form_69390 2019-07-06 17:35:58

还好,可以参考

qqobligation14432 2019-07-06 17:35:58

內容豐富,給了我不少幫助

qqnailao 2019-07-06 17:35:58

內容豐富,給了我不少幫助

相关推荐
VHDL专题电子密码锁
是做vhdl课程设计好材料。
RAR
0B
2019-05-15 18:36
VHDL设计电子密码锁
很齐全。源代码,仿真图,设计报告都有。通过编译,仿真,可以下载到芯片上直接使用。
RAR
0B
2019-01-21 17:19
VHDL实现电子密码锁
摘要:随着超大规模电路及计算机技术的快速发展,EDA技术被广泛应用到通讯、国防、空间技术、医药科学、工业自动化和智能装置等领域。着重讨论了采用EDA技术设计的一种基于VHDL语言的电子密码锁。实验结果
PDF
0B
2019-05-15 18:37
电子密码锁基于VHDL语言
设计一个简单的数字电子密码锁,密码为4位。用数据开关K1~K10分别代表数字1,2,…9,0,输入的密码用数码显示,最后输入的密码显示右边,即每输入一位数,密码在数码管显示左移一位。同时可删除输入的数
DOC
0B
2019-05-02 05:14
VHDL专题电子密码锁pdf
VHDL专题—电子密码锁.pdf
pdf
0B
2019-01-07 10:37
基于VHDL实现电子密码锁
基于VHDL实现的电子密码锁,内含报告,使用MaxPlus2
RAR
0B
2020-10-27 02:56
电子密码锁论文电子密码锁论文
电子密码锁论文电子密码锁论文电子密码锁论文电子密码锁论文
rar
0B
2020-03-05 13:29
基于VHDL语言的电子密码锁
(1)数码输入:每按下一个数字键,就输入一个数值,并在显示器上的显示出该数值,同时将先前输入的数据依序左移一个数字位置。(2)数码清除:按下此键可清除前面所有的输入值,清除为“0000”。(3)密码更
ZIP
0B
2019-05-15 18:36
电子密码锁vhdl语言源程序
专业人员必备,VHDL语言密码锁设计程序.
TXT
0B
2019-05-15 18:36
基于VHDL电子密码锁设计
一份报告 代码极少 有图有真相 非原创 适用于写报告的同志们
pdf
0B
2019-01-07 10:37
VHDL密码锁
VHDL,密码锁,课程作业的源代码。通过正确的密码输入来获得输出。只是简单的课后作业的解答。
RAR
0B
2019-05-05 03:58
vhdl密码锁
vhdl密码锁
DOC
0B
2019-05-15 18:37
电子密码锁论文
一份写得不错的论文 本系统由单片机系统、矩阵键盘、LED显示和报警系统组成。系统能完成开锁、超时报警、超次锁定、管理员解密、修改用户密码基本的密码锁的功能。除上述基本的密码锁功能外,还具有调电存储、声
DOC
0B
2019-01-09 01:16
vhdl语言四位电子密码锁
电子密码锁主要程序,讲解 很有用的文件。实验必备
PDF
0B
2019-01-07 10:37
四位电子密码锁程序VHDL
报警电子锁的设计包含程序和说明,报警电子锁的设计包含程序和说明,报警电子锁的设计包含程序和说明
RAR
1.58MB
2020-07-21 11:02