基于FPGA和VHDL的LED点阵汉字滚动显示设计

上传:grlong 浏览: 45 推荐: 0 文件:PDF 大小:348.6KB 上传时间:2019-05-14 18:20:57 版权申诉
基于FPGA和VHDL的LED点阵汉字滚动显示设计
上传资源
用户评论
相关推荐
基于FPGAVHDLLED点阵汉字滚动显示设计方案
汉字滚动显示器的传统设计方法是用单片机来控制的,虽然单片机方案具有价格低廉,程序编程灵活等特点,但由于单片机硬件资源的限制,未来对设计的变更和升级,总是要付出较多研发经费和较长投放市场周期的代价,甚至
PDF
621KB
2020-10-27 22:49
基于FPGA88点阵滚动汉字显示
本人的EDA实习报告,包括源程序,能滚动显示汉字
DOC
273KB
2021-04-22 09:20
基于FPGA控制LED汉字滚动显示设计
现代文明的一个显著特征是城市中随处可见的五颜六色的广告宣传,其中大多都是由LED点阵制作的汉字或图形广告,广泛应用在银行、医院、酒店、火车站、体育场馆等各种公共场所。
PDF
372KB
2021-01-16 14:50
16×16点阵滚动显示汉字LED点阵显示
16×16点阵(滚动显示)\汉字LED点阵显示 这是一篇关于16×16点阵(滚动显示)\汉字LED点阵显示的论文,内容非常详细
DOC
0B
2019-01-15 05:08
汉字LED点阵显示16×16点阵滚动显示.
对汉字LED点阵显示的C程序,能用于汉字LED点阵显示16×16点阵(滚动显示).
RAR
0B
2018-12-08 23:11
基于FPGALED点阵显示字符设计
本设计结合上海航虹高科技公司生产的EDA实验箱, 需要显示的16 个字符的字模已存放在EPROM 的8000H~807FH, 采用单个8×8LED 点阵(WTD3088)显示字符, 显示控制由现场可编
PDF
79KB
2020-08-20 03:19
基于8086LED点阵汉字显示
中南大学自动化1201微机原理课程设计LED点阵显示,内包含Proteus仿真硬件图和代码,可供参考
zip
0B
2019-05-27 14:19
LED点阵显示汉字
#include #include sbit SRCLK=P3^6; sbit RCLK=P3^5; sbit SER=P3^4; //--定义要使用的IO口--// #define COMMONPO
ZIP
0B
2019-03-31 00:25
点阵led显示汉字
利用c语言结合51单片机进行汉字的led显示
rar
0B
2018-12-08 02:08
LED汉字点阵显示系统设计
LED汉字点阵显示系统设计。
PDF
371KB
2021-05-03 15:07
点阵汉字显示VHDL描述
EDA设计中关于点阵的汉字显示,包括不同的显示方式(循环、移动等),用VHDL描述。
rar
0B
2019-07-09 22:05
基于FPGAled88点阵滚动显示
基于FPGA的led8*8点阵滚动显示,在quartueII8.1平台下,一通过编译,板上仿真。
RAR
0B
2019-05-05 08:49
点阵LED滚动汉字显示Proteus仿真设计
摘要:利用嵌入式系统软硬件设计仿真平台Proteus对点阵式LED滚动汉字显示屏进行仿真设计,完成了系统的硬件电路设计和软件编程,并进行了仿真调试,实现了汉字在点阵式LED显示屏上的滚动显示,达到了仿
DOC
0B
2019-04-29 21:41
LED点阵汉字显示设计
LED点阵汉字显示屏是通过PC机将要显示的汉字字模提取出来,并发送给单片机,然后显示在点阵屏上,主要适用于室内外汉字显示。LED点阵显示屏按照显示的内容可以分为图文显示屏、图像显示屏和视频显示屏。与图
rar
289.734 KB
2023-01-26 08:08
VHDL滚动显示汉字程序
在一屏一屏显示汉字的基础上,字库的编写要每一屏字向前推一列。当lie0为0时,d0~d7为一屏;当lie0为1时。d0~d7为二屏,汉字向前推一列;依此类推。
其他文档
0B
2019-06-01 13:06