VHDL滚动显示汉字程序

上传:yandavid 浏览: 33 推荐: 0 文件:其他文档 大小:14KB 上传时间:2019-06-01 13:06:29 版权申诉
在一屏一屏显示汉字的基础上,字库的编写要每一屏字向前推一列。当lie0为0时,d0~d7为一屏;当lie0为1时。d0~d7为二屏,汉字向前推一列;依此类推。
上传资源
用户评论