四位无符号数乘法器的VHDL语言设计

上传:xurime 浏览: 39 推荐: 0 文件:RAR 大小:395.01KB 上传时间:2019-05-14 20:43:13 版权申诉
四位无符号数乘法器的VHDL语言设计,四位乘法器输入信号为a_in,b_in,均为四位无符号数,输出为c_out,为八位无符号数,有c_out=a_in×b_in。程序设计中利用a_in与b_in(n)(n=0,1,2,3)分别相乘后左移n位再累加的方法来实现乘法功能。包含程序代码(VHD)、仿真波形图以及简单的设计报告。希望能给你提供一点帮助。
上传资源
用户评论

hhlxw70544 2019-05-14 20:43:13

还不错,试验成功

EJWSUN_BIT 2019-05-14 20:43:13

通过设计的VHDL语言,实验顺利通过。

luis63089 2019-05-14 20:43:13

没仿真出结果