单周期CPU设计与Verilog实现

上传:KinLIGE 浏览: 20 推荐: 0 文件:RAR 大小:654.55KB 上传时间:2019-05-17 01:45:00 版权申诉
单周期的整个项目,在电脑上安装vivado即可添加项目,我个人使用的是15版的。另外需要看波形图的,点击仿真,调节相关参数即可
上传资源
用户评论

wallyguo 2019-05-17 01:45:00

还不错,值得参考

Denger 2019-05-17 01:45:00

还可以。。。。

相关推荐
verilog周期CPU设计
支持指令集为:{addu,subu,ori,lw,sw,beq,lui,jal,jr,nop,sll,j,lh,sh}。处理器为单周期设计。
ZIP
0B
2019-04-30 08:52
周期CPU设计verilog
单周期CPU设计verilog,课程设计
ZIP
0B
2019-05-17 01:45
周期CPUVerilog实现
单周期CPU,实现了lw,sw,add,sub,slt,jmp指令
7Z
0B
2019-01-17 04:12
Verilog周期CPU
Verilog单周期CPU设计能通过仿真相关测试文件已经放在压缩包
RAR
0B
2019-07-23 11:06
Verilog周期cpu设计
Verilog 单周期cpu的设计
RAR
0B
2019-02-25 07:04
周期CPU设计实现
在理解MIPS指令集编码以及指令格式基础上,实现一个简化版的具有11条基本指令的单周期MIPS,利用Vivado在XilinxN4板实现
RAR
0B
2019-06-04 12:45
周期CPUVerilog设计代码
Verilog design code for single-cycle CPU
ZIP
0B
2019-06-26 08:07
周期CPU verilog编写
verilog语言编写的单周期CPU,QuartusII平台运行。
RAR
0B
2020-06-02 11:49
周期CPU设计Verilog实现
多周期CPU的实现,在15版的vivado上可以打开,如果需要看到仿真的波形图,可以跑仿真,调节相关参数即可显示出来
ZIP
0B
2019-05-19 18:10
16位周期cpuverilog实现
16位单周期处理器的verilog实现。包括存储模块和仿真模块,结构很清晰,大学计算机组成原理课程必备。
ZIP
0B
2019-05-17 01:45
MIPS周期CPU设计Verilog源码
计算机组成课程作业源码。MIPS单周期/多周期流水线设计,多周期流水线实现了数据冒险,控制冒险。代码结构清晰,欢迎交流讨论。
RAR
0B
2019-02-22 19:14
周期cpu实现
一个系统结构里面关于MIPS指令的单周期CPU
ZIP
0B
2019-07-19 00:49
周期CPU设计
中山大学计组实验单周期CPU设计实验报告及项目代码
ZIP
0B
2019-04-28 04:32
CPU周期设计
本资源是关于实现CPU单周期执行各条指令的设计 verilog语言实现,里边涵盖寄存器堆,控制器,ALU,RAM,ROM等模块的源代码设计 里边还包含有针对自主设计的指令的汇编解释器程序,将文件hui
RAR
0B
2019-03-13 21:42
Verilog实现周期十条指令的cpu
课程设计所得单周期十条指令cpu,源代码在压缩包里,可略作参考,如果怎么跑都不会就。。。
RAR
0B
2019-12-30 23:13