VHDL语言实现流水灯流水灯

上传:cuteddj 浏览: 53 推荐: 0 文件:rar 大小:173KB 上传时间:2019-05-27 18:16:10 版权申诉
用VHDL语言实现流水灯试验,用到QUARTER软件操作比较的简单,程序全在里面
上传资源
用户评论

oqqyumi 2019-05-27 18:16:10

程序不错,还没有调试