用VHDL实现流水灯设计

上传:zly-只是个学生 浏览: 19 推荐: 0 文件:DOC 大小:14.5KB 上传时间:2019-05-31 06:11:00 版权申诉
这是一个简单的程序,用VHDL实现流水灯设计,希望能对初学者有所帮助。
上传资源
用户评论
相关推荐
vhdl水灯设计
用VHDL语言编写的 流水灯左移和右移跳跃间隔 两种组合
RAR
0B
2018-12-26 08:01
序列发生器流水灯VHDL实现
本代码用VHDL硬件描述语言实现序列发生器流水灯
VHD
0B
2019-03-08 03:37
VHDL语言控制流水灯
用VHDL语言实现流水灯的设计,在FPGA板子上跑出来
RAR
0B
2019-05-04 14:47
VHDL语言实现水灯水灯
用VHDL语言实现流水灯试验,用到QUARTER软件操作比较的简单,程序全在里面
rar
0B
2019-05-27 18:16
vhdl实现8259设计
alter公司的程序,去除了注释等。另加上了本人搞得仿真波形图,编译仿真全部通过。再加本人上传的8259a中文说明书即可很好的理解其原理。不求其他,如果能给其他人一些帮助更好。
RAR
0B
2020-05-23 18:01
VHDL编写的流水灯程序
使用VHDL开发的流水灯程序,编译后可以下载到板子上
VHD
0B
2018-12-08 23:32
VHDL实现按键花样流水灯
在quartus下编译通过,克通过按键切换流水灯显示状态,模块化编程设计,可根据需求,自行更改芯片与IO接口,本人使用的为EPM7128slc。
ZIP
0B
2019-05-03 13:52
基于VHDL的流水灯设计
用VHDL语言的流水灯,共有8个状态,用数码管显示
RAR
0B
2019-05-04 14:47
基于vhdl的流水灯设计
基于vhdl的流水灯设计,小实验,可以当例子用!
TXT
0B
2019-07-17 12:23
VHDL水灯
Led灯控制 led灯闪烁
RAR
0B
2019-06-21 02:54
水灯VHDL
流水灯VHDL程序适用于FPGA初学者
DOC
0B
2019-09-25 21:45
Labview实现水灯
这个是用Labview9.0实现流水灯的一个VI
RAR
0B
2019-05-31 06:11
led流水灯vhdl
用vhdl代码的形式实现,流水灯的效果,有特定的代码,如果开发板不同,你只需改变引脚即可使用。
RAR
0B
2019-01-11 11:48
VHDL变速流水灯
VHDL变速流水灯可以调节速率的流水灯,其中速率用拨码开关设置,挺好用
TXT
0B
2019-07-17 12:22
vhdl设计DDS
使用VHDL语言设计一个任意波形发生器,该波形发生器可产生三角波、方波,正旋波等
rar
0B
2019-05-28 01:12