正弦波设计正弦波信号发生器

上传:titan35563 浏览: 62 推荐: 0 文件:DOC 大小:105KB 上传时间:2019-06-03 18:21:27 版权申诉
本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和末级放大电路构成。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳幅正弦波,并在数字域实现了AM、FM、ASK、PSK等四类调制信号。调制信号既可由用户输入参数由FPGA内部生成,也可以从外部输入。整个系统结构紧凑,电路简单,功能强大,可扩展性强。
上传资源
用户评论
相关推荐
正弦波信号发生器设计
电子设计大赛的题目相关资料,相信会有所帮助
DOC
170KB
2020-08-20 09:10
课程设计正弦波信号发生器
在实践中,广泛采用各种类型的信号产生电路,就其波形来说,可能是正弦波或非正弦波。 在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,这
DOC
170KB
2020-07-21 09:03
正弦波信号发生器制作
正弦波信号发生器制作
PPT
0B
2019-01-04 10:03
正弦波信号发生器电路
本文给大家分享了一个正弦波信号发生器电路。
PDF
34KB
2020-08-12 16:33
正弦波方波信号发生器
正弦波 方波信号发生器 的原理图 以及PCB制图 包括了整个系统的设计
DDB
1.56MB
2020-12-15 09:55
基于DSP的设计正弦波信号发生器
基于DSP的设计正弦波信号发生器课程设计
DOC
0B
2019-05-07 01:04
基于DDS正弦波信号发生器
采用基于 DSP 代码和 IP 核的 ROM 模块存储波形,实现了基于 FPGA 的正弦波信号输出和波形仿真。
RAR
0B
2019-06-21 10:09
正弦波信号发生器verilog代码
完整的正弦信号发生器verilog程序代码,仿真已通过
zip
0B
2019-07-06 09:15
veirlog编写正弦波信号发生器
基于quartus平台用verilog生成正弦波信号,包括rom的生成,
DOC
61KB
2020-09-20 15:31
低频信号发生器正弦波
(2) 能产生方波、三角波和脉冲。 (3) 产生的各种信号,要能改变其频率、和幅值。 (4) 可以产生以上三种信号波形的叠加(仅限于其频率相同)。 (5) 频率、幅值可以通过键盘设定。 (6) 在输出
RAR
3.29MB
2020-09-24 23:26
PC声卡正弦波信号发生器
PC声卡正弦波信号发生器,用电脑声卡输出 1Hz-20KHz的正弦波频率。 含源代码。
zip
0B
2018-12-20 10:40
基于DSP的正弦波信号发生器设计.pdf
基于DSP的正弦波信号发生器设计pdf,
PDF
0B
2019-09-28 14:36
FPGA dds正弦波信号发生器verilog
FPGAdds正弦波信号发生器verilog。。。。。。。。。
rar
0B
2019-07-06 09:16
基于DSP的正弦波信号发生器
用TMS320C54x的汇编语言程序设计正弦信号发生器大大方便了程序的编写、调试和加快了程序的运行速度。
pdf
0B
2019-07-06 09:16
正弦波信号发生器有几种波形
信号发生器一般可输出多种波形,如能输出正弦波、方波、:E角波、TTL电平和直流电平。下面一起来学习一下
PDF
36KB
2020-08-30 03:42