正弦波信号发生器verilog代码

上传:weixin_63201 浏览: 22 推荐: 0 文件:zip 大小:4.04MB 上传时间:2019-07-06 09:15:55 版权申诉
完整的正弦信号发生器verilog程序代码,仿真已通过
上传资源
用户评论

encouragement_37971 2019-07-06 09:15:55

挺不错的,挺详细的

半个月儿上来 2019-07-06 09:15:55

很好,代码很全

rehabilitation80753 2019-07-06 09:15:55

很好,正在找这个

前任 2019-07-06 09:15:55

代码很全,是一个Quantus工程文件,j就是不知道它支持的版本!

相关推荐
FPGA dds正弦波信号发生器verilog
FPGAdds正弦波信号发生器verilog。。。。。。。。。
rar
0B
2019-07-06 09:16
verilog正弦波发生器
该文档讲述了利用FPGA实现正弦波发生器的原理,并给出了源代码。
rtf
0B
2019-07-06 09:16
正弦波信号发生器VHDL源代码
正弦波信号发生器VHDL源代码
rar
103.61 KB
2022-07-16 04:06
正弦波发生器Verilog工程文件
正弦波发生器Verilog完整的工程文件,测试能用!
RAR
0B
2020-06-02 13:48
正弦波设计正弦波信号发生器
本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和末级放大电路构成。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳幅正弦波,并在数字域实现了AM、FM、ASK、
DOC
0B
2019-06-03 18:21
随机信号发生器Verilog
使用LFSR和CASR构成随机数或随机信号发生器;Verilog实现
ZIP
0B
2019-05-31 23:01
正弦波信号发生器制作
正弦波信号发生器制作
PPT
0B
2019-01-04 10:03
正弦波信号发生器电路
本文给大家分享了一个正弦波信号发生器电路。
PDF
34KB
2020-08-12 16:33
正弦波方波信号发生器
正弦波 方波信号发生器 的原理图 以及PCB制图 包括了整个系统的设计
DDB
1.56MB
2020-12-15 09:55
verilog写的正弦波发生器
用verilog写的正弦波发生器,利用DDS原理,先生成一个ROM表
TXT
0B
2019-01-18 13:59
基于FPGA的信号函数发生器代码verilog
基于FPGA的信号函数发生器代码(verilog),采用DDS合成技术,并做VGA显示
RAR
0B
2019-05-14 17:08
基于fpga的正弦信号发生器verilog代码
很简洁易懂的正弦信号发生器verilog代码,附带有modelsim仿真测试脚本文件,已用于我自己的项目中
ZIP
0B
2019-05-27 16:21
DDS信号发生器详细教程和verilog代码
免费哦
rar
0B
2020-02-20 05:45
伪随机信号发生器verilog
伪随机信号发生器,m序列,用verilog代码编写
TXT
0B
2018-12-07 16:02
基于verilog信号发生器
基于verilog的信号发生器,基于数字直接调频(DDS)技术,内含源代码
ZIP
0B
2019-05-31 23:01