正弦信号发生器的设计

上传:lgl3256161 浏览: 18 推荐: 0 文件:RAR 大小:126.18KB 上传时间:2019-06-03 18:21:35 版权申诉
本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和末级放大电路构成。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳幅正弦波,并在数字域实现了AM、FM、ASK、PSK等四类调制信号。调制信号既可由用户输入参数由FPGA内部生成,也可以从外部输入。整个系统结构紧凑,电路简单,功能强大,可扩展性强。
上传资源
用户评论
相关推荐
正弦信号发生器设计
正弦信号发生器设计 一、实验目的: ㈠ 了解使用DSP实现正弦信号发生器的原理及程序的基本结构。 ㈡ 进一步掌握汇编程序的调试和程序结果的检查方法。
DOC
0B
2018-12-28 00:19
正弦信号发生器设计
DDS技术频率分辨率高、转换速度快、信号纯度高、相位可控、输出信号无电流脉冲叠加、输出可平稳过渡且相位可保持连续变化。
DOC
0B
2019-09-28 14:36
正弦函数信号发生器设计
在Quartus II上完成正弦波信号发生器的设计,包括仿真和资源利用情况了解(假设利用Cyclone器件)。最后在实验系统上实测,包括FPGA中ROM的在系统数据读写测试和仿真测试。信号输出的D/A
DOC
0B
2019-01-22 20:28
matlab正弦信号发生器设计
Matlab sinusoidal signal generator design
RAR
0B
2019-06-22 06:10
正弦信号发生器设计DDS
利用LPM_ROM和HDL设计一个DDS信号发生器,分辨率优于1HZ,ROM表长度8位,8位频率控制字。
RAR
0B
2019-05-08 22:49
QuartusII设计正弦信号发生器
Quartus II设计正弦信号发生器详细步骤
pdf
0B
2019-01-16 13:06
FPGA设计正弦信号发生器
FPGA程控正弦信号发生器(调频、调幅、调相)副具体电路图
DOC
0B
2019-05-28 11:59
正弦信号发生器
正弦信号发生器(迭代法)(汇编语言)、初始化代码和中断服务程序、内存定位文件、中断向量表。
doc
0B
2019-09-15 02:49
基于EDA正弦信号发生器设计
正弦信号发生器是产生、显示与分析波形的电子设备。常运用于企业的研发、教学科研等领域。目前常用的低频正弦波信号发生器的调节范围不大,价格也在中低档位。若要求信号稳定、失真度小、性价比高的正弦波信号发生器
DOC
0B
2019-05-25 07:54
基于dsp正弦信号发生器设计
基于dsp的正弦信号发生器设计基于dsp的正弦信号发生器设计基于dsp的正弦信号发生器设计基于dsp的正弦信号发生器设计基于dsp的正弦信号发生器设计基于dsp的正弦信号发生器设计基于dsp的正弦信号
ZIP
0B
2019-05-28 12:00
基于dsp设计正弦信号发生器
关于DSP与信号发生器的毕业论文不论是正弦波还是什么波
PDF
0B
2019-07-17 02:38
基于DSP正弦信号发生器设计
基于DSP的正弦信号发生器设计使用Tl公司的DSP芯片TMS320LF2407来产生PWM信号
CAJ
0B
2019-07-17 02:38
正弦信号发生器设计与制作
正弦信号发生器的设计与制作
PDF
691KB
2020-07-30 15:17
matlab正弦信号发生器设计.rar
matlab正弦信号发生器的设计.rar............
RAR
0B
2020-05-17 19:36
正弦信号发生器设计
电子设计大赛的题目相关资料,相信会有所帮助
DOC
170KB
2020-08-20 09:10