ADC0809采样

上传:aihan79094 浏览: 41 推荐: 0 文件:TXT 大小:2.12KB 上传时间:2019-06-22 00:02:29 版权申诉
ADC0809 sampling
上传资源
用户评论
相关推荐
ADC0809采样程序
基于AT89S52单片机,控制八位积分型AD采样芯片ADC0809采样并显示
C
0B
2019-01-14 01:07
ADC0809多通道采样
采用ADC0809进行多通道采样适合初学者哦
RAR
0B
2019-05-14 20:45
ADC0809多路同时采样
51单片机ADC0809多路同时采样12864显示,自己写的绝对可以实现的
DOCX
0B
2019-07-11 03:22
FPGA ADC0809采样显示程序
FPGAADC0809采样——显示程序PicoBlaze
rar
0B
2019-07-09 16:48
VHDL实现ADC0809采样控制时序模拟
采用状态机机制实现ADC0809采样控制,用VHDL编写,开发环境为quartus8.0,利用modesim-altera仿真验证
zip
0B
2019-07-07 19:25
实验五ADC0809采样控制电路的实现
破解软件 2、新建工程 3、程序输入及编译 新建文件并输入程序并进行编译。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY EX14 IS PORT
WPS
0B
2019-01-14 01:07
基于ADC0809的模拟电压采样测量方法
 
zip
231.642 KB
2023-02-18 12:34
基于EDA的ADC0809采样控制电路实现
Implementation of Sampling Control Circuit Based on EDA ADC0809
DOC
0B
2019-06-26 14:15
ADC0809电压采样C语言程序数码管显示
ADC0809电压采样C语言程序 数码管显示 简单的程序 未加键盘液晶显示等 附加各个改进 建议 希望大家顶一下 我自己做的第一个AD的 下一个是TLC549的 加油
rar
0B
2018-12-07 09:43
基于EDA实现ADC0809采样控制电路设计
Design of Sampling Control Circuit for ADC0809 Based on EDA
RAR
0B
2019-06-26 14:15
用状态机实现ADC0809采样控制电路
本实验要实现用状态机实现ADC0809的采样控制电路。ADC0809是CMOS的8位A/D转换器,片内有8路模拟,可控制8个模拟量中的一个进入转换器中。ADC0809的分辨率为8位。主要控制信号说明:
doc
0B
2019-07-09 16:48
ADC0809应用
ADC0809应用电路及相应的源代码,和电路图
DOC
0B
2019-05-20 14:37
adc0809程序
ADC0809是带有8位A/D转换器,该程序是adc0809的数据采集。
C
0B
2018-12-25 21:09
adc0809仿真
ad0809仿真,这个是我画的图,应该是没有错的,需要的同学可以下载试试
0B
2019-01-02 10:45
ADC0809模块
ADC0809模块程序
TXT
0B
2019-01-19 02:49